29 Star 172 Fork 23

GVP芯华章科技股份有限公司 / EpicFV

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
readme_en.md 2.41 KB
一键复制 编辑 原始数据 按行查看 历史
tizhou 提交于 2021-01-19 14:26 . update readme_en.md.

With the rapid development of the chip design industry, the industry has placed higher demands on chip verification. Dynamic simulation, formal verification, prototyping and hardware acceleration are some of the most common means of functional verification.

Among them, formal verification has the following three advantages:

  1. formal verification is exhaustive and complete.
  2. formal verification does not require any complex test stimulus environment to be built, only constraints and test points to be written.
  3. formal verification is orders of magnitude more efficient for design convergence than traditional simulation.
  4. formal verification is suitable for designers to verify the functionality of their modules at an early stage.

However, formal verification requires the use of assertions (SystemVerilog Assertion) and engineers must be familiar with the syntax and the internal engine of the tool, which places high demands on the threshold of use and maturity of development skills, resulting in universities and design houses alike being deterred by a lack of experience with formal verification tools, although they would like to use them to greatly accelerate verification convergence. The lack of experience has stopped them from doing so.

As the world's first open-source formal verification tool, "EpicFv" can automatically perform syntax parsing, design synthesis and assertion parsing when the user inputs the RTL design and SystemVerilog assertions to describe the design specification, and automatically dispatch the engine to solve the assertions based on distributed computing theory to accelerate verification. This reduces the threshold for the use of formal verification tools by automatically scheduling the engine to solve assertions based on distributed computing theory and accelerating verification convergence.

Overview

Features

■ The industry's first open source formal verification tool

■ Distributed/parallel computing to accelerate validation convergence

■ Automatic scheduling engine to reduce the threshold of using formal verification tools

■ Professional technical support in ease of use, usability and stability

Support

C++
1
https://gitee.com/x-epic/EpicFV.git
git@gitee.com:x-epic/EpicFV.git
x-epic
EpicFV
EpicFV
main

搜索帮助